2020-10-17から1日間の記事一覧

1 Hz、10 Hzのクロックを作る

クロックはATmega328Pで生成することにする。 /* PD7から10Hzを、PD6から1Hzを出力する。 */ #include <avr/io.h> #include <avr/interrupt.h> #define F_CPU 8000000UL #define PRESCALE_FOR_1HZ 10 volatile int8_t counter = PRESCALE_FOR_1HZ; ISR(TIMER1_COMPA_vect){ // 20Hzの頻</avr/interrupt.h></avr/io.h>…