2020-12-20から1日間の記事一覧

VHDLで4ビット加算器を作る / ライブラリーを使う

pp.114-116 今度はライブラリーを使って4ビット加算器を作ってみる。ただの足し算で表現できる。 5LEを消費した。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; -- usigned数を演算するためのlibraryを使う。 entity adder…

VHDLで4ビット加算器を作る / 全ビットを全加算器にしてみる

前回はテキストどおりにビット0だけを半加算器にしたが、今度は全ビットを全加算器にしてみる。 8LEを消費した。 library IEEE; use IEEE.std_logic_1164.all; -- 4ビット加算器の入出力を宣言する。 entity adder_4bit is port( AIN : in std_logic_vector(…

VHDLで4ビット加算器を作る / ビット0だけ半加算器にする

pp.111-114 今度は、前回作った全加算器を連結して4ビット加算器を作ってみる。ビット0だけはキャリーインが不要なので半加算器にする。 7LEを消費した。 library IEEE; use IEEE.std_logic_1164.all; -- 4ビット加算器の入出力を宣言する。 entity adder_4b…