2020-12-23から1日間の記事一覧

プロセス文でポジティブエッジトリガー型Dフリップフロップを作る

pp.120-121 今度はプロセス文で順序回路Dフリップフロップを作ってみる。 library IEEE; use IEEE.std_logic_1164.all; entity d_ff is port( CLK, D : in std_logic; Q : out std_logic ); end d_ff; architecture RTL of d_ff is begin process(CLK) -- CL…