2021-01-18から1日間の記事一覧

レジスタの読み出し部を74シリーズで作る

レジスタの呼び出し部も74シリーズで作ってみる。バスの選択にはマルチプレクサではなく3ステートバッファーを使うことにした。動作は前回のVHDLと同じである。配線が面倒なのでD-FFおよび3ステートバッファーはprimitivesのシンボルを使った。ここでもやは…

レジスタの読み出し部を作る

pp.197-198 16ビット×8本のレジスタのうち1本を選んで出力する。これもテキストのままである。 -- reg_dc.vhd library IEEE; use IEEE.std_logic_1164.all; entity reg_dc is port( CLK_DC : in std_logic; N_REG_IN : in std_logic_vector(2 downto 0); -- …