2021-02-19から1日間の記事一覧

レジスタの読み出し部reg_dcをシンボルで書き換える

74シリーズはあきらめてmegafunctionsを使うことにする。クロックのタイミングで16ビット×8本のうち1本を選んで更新する。

4相クロックジェネレーターclk_genをシンボルで書き換える

テキストどおりVHDLで記述していた回路をシンボルで書き換える。これは単純なので問題ない。

レジスタに入っている16ビットデータを5桁の十進数値としてキャラクターLCDに表示する

テキストは、VHDLで組んだ二進 → 十進変換回路でOUTポートのデータを十進数に変換し、それを5桁の7セグLEDで表示している(pp.221ff.)。 ここでは、せっかくCPUを作ったのでソフトウェアで解決してみる。たとえば0d54321は次の手順で各桁を取り出す。詳細不明…