2021-04-19から1日間の記事一覧

最大値到達フラグつきカウンター / signalではなくvariableを使う

pp.298-301 前回と同じことを今度はvariableを使って実行してみる。 process内で宣言する。 常にローカル変数である。 processの外へは出られない。外へ出すときはsignalを経由する。 割り当てには :=を使う。 値は即座に更新されるため、更新後の値がすぐ次…

最大値到達フラグつきカウンター

pp.289-291 =は代入ではなくequal。 /=は割って代入ではなくnot equal。 クロックエッジの検出については下のどちらでも同じことだが、event属性で直接検出するよりもrising_edge()函数、falling_edge()函数のほうが望ましいとの由。 if clk'event and clk='…