2021-04-21から1日間の記事一覧

シフトレジスタを作る

pp.311-312 4ビット幅、4段のシフトレジスタを作る。クロックのたびに入力値が次段へ順送りされる。 library ieee; use ieee.std_logic_1164.all; entity shift_register is generic( NUM_BITS : natural := 4; NUM_STAGES: natural := 4 ); port( clk : in …