2021-04-22から1日間の記事一覧

「チャタリング除去回路1 / 安定期間がチャタリング想定期間よりも長く続いたらレベルを確定する」のVHDL版

pp.318-320 入力がHi、出力がLoの時間がチャタリング継続想定時間よりも長く続いたら出力をトグルする。 入力がLo、出力がHiの時間がチャタリング継続想定時間よりも長く続いたら出力をトグルする。 チャタリング除去回路1 / 安定期間がチャタリング想定期間…

ツリータイプ加算器のパラメタライズ

pp.315-318 絶対差の和(マンハッタン距離)を求める -でパラメタライズできていなかったツリータイプ加算器をパラメタライズする。 ここでは7ビット、10本を加算する。4レイヤーの加算が必要なので出力を7+4=11ビットにしてオーバーフローを防ぐ。 library ie…