2021-04-23から1日間の記事一覧

平方根を求める / 計算部分だけ試す

pp.322-325 Quartus PrimeのIPカタログにALTSQRTがあるがここでは使わない。 まずfigure 13.2の計算部分だけ試す。unsigned 8ビット値(0~255)の平方根(0~15)を求める。 unsigned 8ビット値xの平方根の計算手順: 4ビットダウンカウンターを0b1111からカウン…

FIRフィルターを作る

pp.320-322 入力4ビット幅、係数5個、よって出力11ビット幅のFIRフィルターを作る。単なる練習であって係数に意味はない。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --use ieee.math_real.all; entity fir_filter is generic(…