2021-07-08から1日間の記事一覧

pp.25-27 よく使われるのは、列挙型、整数型、排列型、レコード型。レコード型は構造体のことか? 排列型およびレコード型はコンポジットタイプと総称される。 ということなので、無意味な型を作って無意味なことをして確かめてみる。 entity sute_vhdl is p…

パッケージ

pp.23-24 パッケージは2つの部分から成る。 パッケージ宣言: プロトタイプ宣言のようなものか? 型、定数、サブプログラム宣言、コンポーネント宣言を記述する。 パッケージボディ: ここに実装する。 ということなので、意味のない単純なパッケージを作って…