2021-07-24から1日間の記事一覧

N相クロックをつくる その2

よく考えたら、クロックに合わせてシフトするだけでいいのであって、カウンターは必要なかった。 https://github.com/ti-nspire/VHDL_for_Quartus_Prime/blob/main/one_hot_state_counter/one_hot_state_counter.vhd library ieee; use ieee.std_logic_1164.…

N相クロックをつくる

いわゆるone hot state counterである。「VHDLで4相クロックを作る -」「74シリーズで4相クロックを作る -」「4相クロックジェネレーターclk_genをシンボルで書き換える -」のときはテキストどおりに4相に限定していたが、今度は相数を一般化する。 ここでは…