2021-07-31から1日間の記事一覧

N相クロックを作る その3 / それに意味のないとき

74175で作った回路をそのままVHDLで記述し直してみる。ただしインターフェイスはパラメタライズし、リセット回路も追加した。結局前回と同じことをしているのであって意味がなかった。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all…