2021-08-30から1日間の記事一覧

マイコンで生成していた1 Hzクロック、10 HzクロックをFPGAで生成する

前回まで1 Hzクロック、10 HzクロックはATmega328Pで生成していたが今度はFPGAで生成する。デバイスもMAX VからMAX10へ移行した。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity auto_clk is generic( F_CLK : natural := 4…