2021-08-31から1日間の記事一覧

自動クロックと手動クロックとを組み合わせてクロックジェネレーターを作る

前回、前々回の回路を組み合わせてクロックジェネレーターを作る。 library ieee; use ieee.std_logic_1164.all; entity clk_gen is generic( F_CLK: natural := 48_000_000; FREQ_LO: natural := 1; FREQ_HI: natural := 10; BOUNCE_msec: natural := 15; I…

手動クロックのためのディバウンサーもFPGAで作る

これまではATmega328Pでソフトウェア的に処理していたディバウンスを今度はFPGAに作り込む。このときFPGAで作ったディバウンサーはテキストどおりカウンターをunsignedにしたが今度はわかりやすさを優先してnaturalにした。 library ieee; use ieee.std_logi…