2021-09-03から1日間の記事一覧

4ビット加算器74283のようなものをVHDLで記述する

TD4本体の中身についても練習としてVHDLで書き換えてみる。まず74283のようなものを作る。4ビット加算器(キャリーイン、キャリーアウト)である。ただしビット数はパラメタライズする。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all…