2021-09-06から1日間の記事一覧

司司で

つかさつかさ、つかさづかさ 茂木健一郎氏、ひろゆき氏のデジタル庁不採用「何らかの形で関わられたら」(日刊スポーツ) - Yahoo!ニュース「根本的にデジタルの文化っていうのは、今まで霞が関などで積み上げてきた司々(つかさつかさ)というか、じっくり…

クロックジェネレーター、ROM、CPUを配線して仕上げる

全体を配線して、これで完成である。 library ieee; use ieee.std_logic_1164.all; entity top_level_entity is generic( F_CLK : natural := 48_000_000; FREQ_LO: natural := 1; FREQ_HI: natural := 10 ); port( aclr_n : in std_logic; inp : in std_log…

CPU部をVHDLで記述する

内部信号を適当に設けて内部モジュール同士、および内外の配線をする。 library ieee; use ieee.std_logic_1164.all; entity td4 is generic( NUM_BITS: natural := 4 ); port( clk : in std_logic; aclr_n: in std_logic; inp : in std_logic_vector(NUM_BI…