2021-09-25から1日間の記事一覧

三角波生成器

参考: CPLDでディジタル電子工作 (CQ文庫), pp.125ff. アップダウンカウンターの出力をAD変換して三角波を生成する。ADコンバーターは8ビットR-2R方式にした。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.al…

アップダウンカウンターを作る

トップ値とボトム値とをパラメタライズしたアップダウンカウンターを作る。 ↓ これが本体: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity generic_counter_updown is generic( TOP_VAL : natural := 10; BOTTOM_VAL: natura…