2022-01-24から1日間の記事一覧

コンパクトなDフリップフロップ

関連: コンパクトなDフリップフロップ - 参考: Effective Coding with VHDL: Principles and Best Practice (The MIT Press), p.262 library ieee; use ieee.std_logic_1164.all; entity d_flip_flop is port( aclr_n : in std_logic; clk : in std_logic; d…