2022-08-09から1日間の記事一覧

ファイルI/Oを利用したテストベンチ / ハッシュ生成器

pp.555-556 今度はファイルI/Oを利用したテストベンチ。 ↓ これが今回のDUV。任意の長さの文字列(ここでは32文字)に何らかの演算を適用して任意のビット数の数値(ここでは32ビット)に変換する。character'pos(文字)はcharacterタイプに事前定義されている各…

FSMのためのテストベンチ / 自販機 / モジュラーテストベンチ

pp.551-555 stimulus generatorが、投入される一連のコインに相当するトランザクションを生成する。 ドライバーがコインを1個ずつDUVに与える。 スコアボードがDUVの入出力をモニタリングする。 スコアボード内部では、プレディクターがDUV出力の期待値を決…