ディジタルフィルターの実験 / MCP3001 ADCとMCP4911 DACとを同時に動かす / 信号を素通りさせる

Digi-Key TechForum掲載のSPIマスターモジュール / DAコンバーターMCP4911を動かす -」の続き

ADコンバーターMCP3001DAコンバーターMCP4911とを同時に動かす。ここでは、AD変換した値を何もせずにDA変換して出力する。

ファイル一式: VHDL_for_Quartus_Prime/AD_DA_MCP3001_4911 at main · ti-nspire/VHDL_for_Quartus_Prime · GitHub

全体の接続図:
f:id:ti-nspire:20211120121518p:plain:w700

ロジアナでの観測結果:
f:id:ti-nspire:20211120121317p:plain

サンプリング周波数は48 kHz、入力信号は4.8 kHz、1 Vpp。黄色が入力、緑が出力。
f:id:ti-nspire:20211120114622p:plain:w500

f:id:ti-nspire:20211120120743j:plain:w500