2020-11-21から1日間の記事一覧

割り算

今度は割り算を試す。前回と同じくOUTポートをINポートに直結しておく。A、Bレジスタの状態についても動作確認用基板のLEDで見られるようにしておくべきであった。 // テキストのプログラム例(4) // 9/2=4(0100)余り1を計算する。 // 0111(9-2=7)、0101(9-2-…

掛け算

今度は掛け算を試す。前回と同じくOUTポートをINポートに直結しておく。 youtu.be // テキストのプログラム例(3) // 4*3=12 (0b1100)を計算する。 // 0100(4*1=4)、1000(4*2=8)、1100(4*3=12)の順に出力される。 _[0] = LD_A(0); // 総数の初期値 _[1] = LD_…

ループを利用した足し算

今度はループを利用した足し算を試す。テキストのプログラム例(2)(pp.126-127)である。OUTレジスタの出力をCPUで参照する手段としてOUTレジスタの出力をINポートにジャンパしておく。このとき、INポートに接続してあるスイッチはオープンにしておかなければ…