2020-12-24から1日間の記事一覧

74シリーズの10進同期カウンター74162を使ってみる

(テキストとは無関係) 74シリーズにもカウンターはたくさんある。ここでは同期プリセット、同期リセット、リプルキャリー出力つきの10進同期カウンター74162を使ってみる。プリセットもリプルキャリーも使わないので、動作は前回のVHDLとまったく同じである。

VHDLで10進同期カウンターを作る

pp.121-122 今度は、同期リセットつきの10進同期カウンターを作る。0 → 9のカウントアップを繰り返すカウンターである。 これだと、何進カウンターであろうと自由自在に作れることになる。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_u…