2021-01-13から1日間の記事一覧

74シリーズで4相クロックを作る

今度は、74175 (Dフリップフロップ4個入り、共通クロック、ポジティブエッジトリガー)で同じことをしてみる。動作は前回のVHDLとまったく同じである。CLKに1 MHzを与えて、ロジアナで4相クロックを観測した。 74175は今も十分に流通している。

VHDLで4相クロックを作る

これまでMAX V (5M240ZT100C5N)を使ってきたが、今回からMAX 10 (10M08SAE144C8G)を使う。 pp.193-195 いわゆるone-hot state counterである。下のコードはテキストのままである。 -- clk_gen.vhd library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_…