2021-07-09から1日間の記事一覧

genericでモジュールをパラメタライズする

pp.29-31 genericでパラメタライズしておけば、別のモジュールで実体化するときに、そのgenericに別に値を割り当てることができる。 下のコードはほぼテキストのままである。48 MHzクロックをモジュロ48 Mのカウンターでカウントし、それがオーバーフローす…

属性

pp.28-29 オブジェクトの持つさまざまな属性が読み出せる。ここでは排列要素の最大値を求める。 entity sute_vhdl is port( num_out: out natural range 0 to 15 ); end entity; architecture rtl of sute_vhdl is type nat8_vect_t is array(natural range …