2021-07-15から1日間の記事一覧

任意桁数のBCDカウンターを作る

https://github.com/ti-nspire/VHDL_for_Quartus_Prime/tree/main/counter_bcd 下位VHDLとして10進カウンターを1個だけ作っておいてそれを上位VHDLで何個か実体化して同期連結する。10進カウンター自体はIPカタログで自動生成した。連結数はパラメタライズし…