2021-10-02から1日間の記事一覧

「バイナリー→BCD変換函数」「BCD→整数変換函数」をパッケージにまとめる

以前double dabbleアルゴリズムでバイナリー→BCD変換函数を作ったが、今度はBCD→整数変換函数と一緒にパッケージにまとめておく。 slv_to_bcd()函数で0b10011010010100 (0d9876)を0x9876 (0d39030)に変換し、それをbcd_to_int()函数で元の0d9876に変換してみ…