2023-02-27から1日間の記事一覧

nビット加算器 / リップルキャリーアダー / キャリーの遅延する様子を見る

nビット加算器 / リップルキャリーアダー -の続き 今度はFPGAで実際にキャリーの遅延する様子を見る。8ビット加算器で試す。1111 1111 + 0000 0000 + キャリーインという計算をして全桁にキャリーアウトが立つようにする。入力xには1111 1111を、入力yには00…