2023-03-13から1日間の記事一覧

乗算回路 / 符号なし / 筆算の手順を実装する

pp.154-155 Figure 3.28の手順をそのままVHDLで表現する。いわゆる筆算の手順である。ライブラリーによる乗算も実行して比較できるようにする。Figure 3.28はリップルキャリーアダーを使っているが今回は、「nビット加算器 / キャリールックアヘッドアダー /…