2023-04-24から1日間の記事一覧

シンプルなステートマシンの例 / ミーリータイプ

pp.341-343 2クロック続けて入力wが1のとき出力zを1にする。それ以外はzは0。 シンプルなステートマシンの例 / ムーアタイプ / 別の記述法 -の続き。今度はミーリータイプに書き換える。これだとステートが2つで済む。 library ieee; use ieee.std_logic_116…