2023-06-05から1日間の記事一覧

コンピューターアーキテクチャー / memoryを作りかえる

Chapter 5 テキストは下のようにメモリーマップしている。 0~16383番地: RAM 16384~24575番地: Screen 24576番地: Keyboard ここではひとまず下のように割り当てておく。 0~63番地: RAM + Screen 64番地: Keyboard library ieee; use ieee.std_logic_1164…