2021-01-20から1日間の記事一覧

MOV命令を74シリーズで実装する

レジスタBをレジスタAに上書きする命令である。 OP_CODEが0のときだけ以下の状態になることを確かめる。 REG_Bがそのまま出力されること。 REG_WENからHiが出力されること。 RAM_WENからLoが出力されること。 PC_LD_NからHiが出力されること。

プログラムカウンターを74シリーズで作る

『CPUの創りかた』と同じく74161を使う。テキストのVHDLは同期リセットにしてあるが、ここでは非同期リセットにした。 以下の3つを確かめる。 クロックに連動して0~255までカウントアップすること。 RESET_N信号をLoにしたときにクロックとは無関係に即座に…

RAMの読み出し部を回路図エディターで作る

RAMの読み出し部を作る -と同じ動作をする回路を回路図エディターで作る。74シリーズで作るのはあとまわしにして、まずはmegafunctionsで楽に作って全体のロジックだけ確認する。最終的にはIO65_IN[15..0]を外部からのINポートに使うとの由。 RAM_0~7[15..0…