2021-09-04から1日間の記事一覧

A、B、OUTの各レジスタとPCとをVHDLで記述する

前回作った74161のようなものを使ってA、B、OUTの各レジスタとPCとを作る。4つとも1つにまとめる。 library ieee; use ieee.std_logic_1164.all; entity registers is generic( NUM_BITS: natural := 4 ); port( clk : in std_logic; aclr_n : in std_logic;…

同期カウンター74161のようなものをVHDLで記述する

74161は4ビット同期カウンター(!非同期リセット、!同期ロード、カウントイネーブル、リプルキャリーアウト)である。ビット数はパラメタライズするのでリプルキャリーはいらないが練習なので実装する。 library ieee; use ieee.std_logic_1164.all; use ieee.…

命令デコーダーをVHDLで書き換える

今度は命令デコーダーをVHDLで書き換える。p.242の真理値表をそのまま記述する。 library ieee; use ieee.std_logic_1164.all; entity instruction_decoder is port( c_flag_n: in std_logic; op_code : in std_logic_vector(3 downto 0); -- "00"のときA_RE…