2021-11-20から1日間の記事一覧

ディジタルフィルターの実験 / MCP3001 ADCとMCP4911 DACとを同時に動かす / 信号を素通りさせる

「Digi-Key TechForum掲載のSPIマスターモジュール / DAコンバーターMCP4911を動かす -」の続き ADコンバーターMCP3001とDAコンバーターMCP4911とを同時に動かす。ここでは、AD変換した値を何もせずにDA変換して出力する。 ファイル一式: VHDL_for_Quartus_P…