2023-01-11から1日間の記事一覧

2入力マルチプレクサ

library ieee; use ieee.std_logic_1164.all; entity sute_vhdl is port ( x1: in std_logic; x2: in std_logic; s: in std_logic; f: out std_logic ); end entity; architecture rtl of sute_vhdl is begin /*process(x1, x2, s) begin if s = '0' then f …