2023-02-19から1日間の記事一覧

全加算器

全加算器を再度試す。半加算器を2個連結するのではなく下の回路にした。 library ieee; use ieee.std_logic_1164.all; entity full_adder is port ( cin: in std_logic; x: in std_logic; y: in std_logic; cout: out std_logic; s: out std_logic ); end en…

算術オーバーフロー / 非公式訳

p.137 非公式訳: 算術オーバーフローを検出するのには別の方法があります。もっと直観的な方法です。オーバーフローが生じるのは、加数の符号が両方とも同じであるのにその符号と加算結果の符号とが異なっている場合です。X=X3X2X1X0、Y=Y3Y2Y1Y0が4ビットの…