2023-03-06から1日間の記事一覧

nビット加算器 / キャリールックアヘッドアダー / キャリーの遅延を見る

pp.138-143 nビット加算器 / キャリールックアヘッドアダー -の続き 8ビット幅のキャリールックアヘッドアダーを作ってキャリーの遅延を見てみる。xは全部1、yは全部0にして、FGからキャリーインとしてパルスを入力する。 library ieee; use ieee.std_logic_…

nビット加算器 / キャリールックアヘッドアダー

pp.138-143 nビット加算器 / リップルキャリーアダー / キャリーの遅延する様子を見る -の続き もちろん普通はロジック回路を組むようなことはせずにただ+演算子を使って足し算をするだけである。 上の式から分かるように、p、gを生成する手段としてandゲー…