2023-03-11から1日間の記事一覧

nビット加算器 / キャリールックアヘッドアダー / シミュレーション

nビット加算器 / キャリールックアヘッドアダー / キャリーの遅延を見る -の続き。シミュレーターで動かなかったので書き直した。生成される回路は同じである。 ファイル一式: https://github.com/ti-nspire/VHDL_for_Quartus_Prime/tree/main/n_bits_adder_…