2023-03-20から1日間の記事一覧

BCD加算器 / 1桁

p.159-163 10進数1桁同士の加算器を作る。使うライブラリーが違う以外はほぼテキストのままである。 シミュレーション結果: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adder_bcd_1_digit is port ( x: in std_logic_vec…

単精度浮動小数点形式 / 非公式訳

pp.157-158 単精度浮動小数点形式 図3.30aに単精度浮動小数点数を表現するための形式を示します。左端のビットが符号ビットSです。0は正の数を、1は負の数をそれぞれ表します。8ビットの指数部Eと23ビットの假数部Mとがあります。指数の底は2です。非常に大…