2023-04-17から1日間の記事一覧

シンプルなステートマシンの例 / ムーアタイプ / 別の記述法

pp.337-338 前回と同じステートマシンを別の方法で記述する。processを2つに分ける。最初のprocessには組み合わせ回路として状態テーブルを記述する。2つ目のprocessにはDフリップフロップを記述する。 library ieee; use ieee.std_logic_1164.all; entity s…

シンプルなステートマシンの例 / ムーアタイプ

pp.311-334 仕様: 2クロック続けて入力wが1のとき出力zが1になる。それ以外はzは0。 ステートマシン: 初期状態はA。 w=1が1回検出されると状態Bへ遷移。次のクロックでw=0なら状態Aへ戻る。 状態Bにいるときにw=1が検出されると(すなわち2回連続してw=1が検…