2023-05-11から1日間の記事一覧

1の立っているビット数を数える / VHDL

1の立っているビット数を数える / Python -の続き 8ビットの場合のみ試す。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity count_1s_in_8bits is port ( inp: in std_logic_vector(7 downto 0); num_1s: out std_logic_vect…

1の立っているビット数を数える / Python

参考: ハッカーのたのしみ―本物のプログラマはいかにして問題を解くか その17 ビット演算あれこれ ビットを数える・探すアルゴリズム おすすめ書籍|組込みエンジニア向け | ハングスタック 8ビットの場合: def count_1s_in_8bits(val): val = (val & 0x55) …