2023-05-29から1日間の記事一覧

メモリー / ram64を作る

Chapter 3 今度は前回のram8を8個束ねる。これだけでLEを5,000個近く消費する。今使っているFPGAではもう限界である。テキストはこのram64を8個束ねてram512を作り、ram512を8個束ねてram4kを作り、ram4kを4個束ねてram16kを作る。 library ieee; use ieee.s…

メモリー / ram8を作る

Chapter 3 今度はram8を作る。前回の16ビットレジスタを8本束ねる。8本ある16ビットレジスタのうち、アドレスで指定したレジスタの値が非同期読み出しされる。loadが1のときは、アドレスで指定したレジスタへ同期書き込みされる。 inpを8パラで配線している…