2023-06-02から1日間の記事一覧

コンピューターアーキテクチャー / memoryを作る

Chapter 5 テキストは下のようにメモリーマップしている。 0~16383番地: RAM 16384~24575番地: Screen 24576番地: Keyboard ここではひとまず下のように割り当てておく。 0~7番地: RAM 8~15番地: Screen 16番地: Keyboard library ieee; use ieee.std_lo…

コンピューターアーキテクチャー / rom16を作る

Chapter 5 テキストは16ビット × 32768本のrom32kをブラックボックスとして提供しているが、こんなに大きなromを作っていたらLEがいくらあっても足りないので、ここではひとまず16ビット×16本のrom16をVHDLで作り込んでおく。最終的にはメモリーブロックに移…