The Elements of Computing Systems, second edition: Building a Modern Computer from First Principles

基本ゲートを作る / not、and、or、xor

p.27 使ってよいのはnandゲートだけという条件を課す。作った回路は再利用可。まずnot、and、or、xorの各ゲートを作る。 not: library ieee; use ieee.std_logic_1164.all; entity not_gate is port ( inp: in std_logic; outp: out std_logic ); end entity…

abstractionとimplementationと

p.5 非公式訳: abstractionとは、個々のモジュールの機能(何をするのか)を表現したものです。一方implementationとは、その機能をどのようにして実現しているのかを表現したものです。この区別をしっかりつけたうえで、システムエンジニアリングで最も大切な…