2021-04-06から1日間の記事一覧

コンポーネント実体化

pp.255-256 前回と同じことを今度はcomponent実体化オプションで再現する。componentの実体化はarchitectureボディの宣言部でもよいしgenerateステートメントの宣言部でも良い。 architectureボディの宣言部でcomponentを実体化する場合: library ieee; use …

デザインエンティティの実体化 / for-generateステートメント

pp.254-255 Nビット(ここでは4ビット)のキャリーリップルアダーを作る。for-generateステートメントで全加算器をN個実体化する。 これがトップファイル: library ieee; use ieee.std_logic_1164.all; entity carry_ripple_adder is generic( NUM_BITS : natu…

条件に応じてどちらかを実体化する

pp.251-252 これがトップファイル: library ieee; use ieee.std_logic_1164.all; entity adder is generic( POLARITY : std_logic := '0'; -- この定数で.adder_unsigned、.adder_signedのどちらかを指定する。 NUM_BITS : natural := 4 ); port( a, b : in …

プライオリティエンコーダー

/インコウダ/ p.249 半分まで読んでようやく実際の回路設計に入る。 VHDL 2008を指定しても全部が全部Quartusに実装されているわけではなかった。 library ieee; use ieee.std_logic_1164.all; entity priority_encoder is port( inp : in std_logic_vector(…

秋桜(コスモス)

season 2.5 2/4 コスモス メキシコ原産 キク科 英名cosmos (宇宙) 名前の由来はギリシャ語kosmos (秩序・調和) 8枚の花びらが美しく整然と並ぶ様から称された コスモスが日本に持ち込まれたのは明治時代―― 来日したイタリア人彫刻家V・ラグーザは玉という女…

ボサギク

season 2.5 2/4 Chrysanthemum morifolium ボサギク キク科の多年草 アフロヘアみたいに花を咲かせるのが特徴の花 ボサギクは日向を好む。土が乾いたら水をたっぷりと与えるのがコツだ。