レジスタの読み出し部を74シリーズで作る

レジスタの呼び出し部も74シリーズで作ってみる。バスの選択にはマルチプレクサではなく3ステートバッファーを使うことにした。動作は前回のVHDLと同じである。配線が面倒なのでD-FFおよび3ステートバッファーはprimitivesのシンボルを使った。ここでもやはりREG_3以外は假想端子につないだ。
f:id:ti-nspire:20210118155734p:plain:w800