orderingを指定せずにstd_logic_vectorを宣言したとき

p.269
std_logic_vectorのインデックス型はnaturalであるため、orderingを指定せずにstd_logic_vectorを宣言したときは左端のインデックスが0。

f:id:ti-nspire:20220125113849p:plain

library ieee;
use ieee.std_logic_1164.all;

entity sute is
    port(
        left_most  : out std_logic;
        right_most : out std_logic
    );
end entity;

architecture rtl of sute is
   --
    constant source : std_logic_vector := "1000";
begin
   --std_logic_vectorのインデックス型はnaturalであるため、何も指定しなければ左端のインデックスが0。
    left_most  <= source(0);
    right_most <= source(3);
end architecture;