20.6.4 Intelligent Coverage

pp.559-559
非公式訳

20.6.1 インテリジェントカバレッジ

乱数テスト入力値は一般に、検証プランに示したテストケースが生成できるよう、制約を課すことのできる乱数ジェネレーターで生成します。カバレッジモニターと乱数ジェネレーターとが互いに勝手に作動するしくみになっていると、場合によっては何度も同じ乱数パターンの繰り返されるおそれが生じます。インテリジェントカバレッジとは、カバレッジ情報を乱数生成器に戻すことでカバレッジの抜けに焦点を当ててテストの反復回数を減らす手法のことです。